电子科大《EDA技术》在线作业3
试卷总分:100
单选题
一、单选题(共 20 道试题,共 100 分。)
V
1. VHDL数据对象有
A. 常量、变量
B. 变量、信号
C. 常量、变量、信号
D. 信号
满分:5 分
2. 传统电路设计思想是______________。
A. 自下而上
B. 自外而里
C. 自上而下
D. 自里而外
满分:5 分
3. 符合1987VHDL标准的标识符是
A. 2A
B. A+2
C. A_2
D. 22
满分:5 分
4. VHDL常用的库是
A. IEEE
B. STD
C. WORK
D. PACKAGE
满分:5 分
5. MAX+PLUSII中VHDL文件名必须和______名相同。
A. 项目、结构体
B. 实体、结构体
C. 项目、实体
D. 结构体
满分:5 分
6. 请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A. :=
B. =
C. ==
D. =
满分:5 分
7. 不符合进程语句启动条件的是
A. if语句
B. wait语句
C. 敏感信号量
D. wait语句或敏感信号量
满分:5 分
8. 一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
此题选: D 满分:5 分
9. 常用的硬件描述语言有
A. VHDL、Verilog、C语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
满分:5 分
10. 下列关于CASE语句的说法不正确的是
A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B. CASE语句中必须要有WHEN OTHERS= NULL;语句。
C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。
满分:5 分
11. 下面哪个说法是错误的
A. 进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B. 进程语句是可以嵌套使用的
C. 块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D. 块语句是可以嵌套使用的
满分:5 分
12. 下列关于元件例化语句的说法正确的是
A. 位置关联方式与顺序有关,名称关联方式与顺序有关。
B. 位置关联方式与顺序有关,名称关联方式与顺序无关。
C. 位置关联方式与顺序无关,名称关联方式与顺序有关。
D. 位置关联方式与顺序无关,名称关联方式与顺序无关。
满分:5 分
13. 下面哪个标识符是符合VHDL语法的合法标识符
A. constant
B. 2fft
C. _decoder_1
D. sig_n
此题选: D 满分:5 分
14. 关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
满分:5 分
15. 如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B A的值为____________。
A. 100011
B. 011100
C. 110011
D. 010011
满分:5 分
16. 值为“1110”的标准逻辑矢量,进行ror运算后值为____________ 。
A. 1100
B. 1010
C. 0111
D. 0011
满分:5 分
17. 若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a =b ; c := a ; b = c ; end process; end rtl ;
A. 1,2
B. 2,1
C. 1,1
D. 2, 2
满分:5 分
18. VHDL的语言要素包括以下几类
A. 数据对象、数据类型、操作数、操作符
B. 数据对象、结构体、操作数、操作符
C. 实体、数据类型、操作数、操作符
D. 数据对象、配置、操作数、重载操作符
满分:5 分
19. 字符串型文字B“1110”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
满分:5 分
20. 下面哪个选项不是信号和变量的不同特性
A. 赋值方式不同
B. 定义位置不同
C. 赋值行为不同
D. 综合结果不同
此题选: D 满分:5 分
试卷总分:100
单选题
一、单选题(共 20 道试题,共 100 分。)
V
1. VHDL数据对象有
A. 常量、变量
B. 变量、信号
C. 常量、变量、信号
D. 信号
满分:5 分
2. 传统电路设计思想是______________。
A. 自下而上
B. 自外而里
C. 自上而下
D. 自里而外
满分:5 分
3. 符合1987VHDL标准的标识符是
A. 2A
B. A+2
C. A_2
D. 22
满分:5 分
4. VHDL常用的库是
A. IEEE
B. STD
C. WORK
D. PACKAGE
满分:5 分
5. MAX+PLUSII中VHDL文件名必须和______名相同。
A. 项目、结构体
B. 实体、结构体
C. 项目、实体
D. 结构体
满分:5 分
6. 请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A. :=
B. =
C. ==
D. =
满分:5 分
7. 不符合进程语句启动条件的是
A. if语句
B. wait语句
C. 敏感信号量
D. wait语句或敏感信号量
满分:5 分
8. 一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
此题选: D 满分:5 分
9. 常用的硬件描述语言有
A. VHDL、Verilog、C语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
满分:5 分
10. 下列关于CASE语句的说法不正确的是
A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B. CASE语句中必须要有WHEN OTHERS= NULL;语句。
C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。
满分:5 分
11. 下面哪个说法是错误的
A. 进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B. 进程语句是可以嵌套使用的
C. 块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D. 块语句是可以嵌套使用的
满分:5 分
12. 下列关于元件例化语句的说法正确的是
A. 位置关联方式与顺序有关,名称关联方式与顺序有关。
B. 位置关联方式与顺序有关,名称关联方式与顺序无关。
C. 位置关联方式与顺序无关,名称关联方式与顺序有关。
D. 位置关联方式与顺序无关,名称关联方式与顺序无关。
满分:5 分
13. 下面哪个标识符是符合VHDL语法的合法标识符
A. constant
B. 2fft
C. _decoder_1
D. sig_n
此题选: D 满分:5 分
14. 关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
满分:5 分
15. 如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B A的值为____________。
A. 100011
B. 011100
C. 110011
D. 010011
满分:5 分
16. 值为“1110”的标准逻辑矢量,进行ror运算后值为____________ 。
A. 1100
B. 1010
C. 0111
D. 0011
满分:5 分
17. 若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a =b ; c := a ; b = c ; end process; end rtl ;
A. 1,2
B. 2,1
C. 1,1
D. 2, 2
满分:5 分
18. VHDL的语言要素包括以下几类
A. 数据对象、数据类型、操作数、操作符
B. 数据对象、结构体、操作数、操作符
C. 实体、数据类型、操作数、操作符
D. 数据对象、配置、操作数、重载操作符
满分:5 分
19. 字符串型文字B“1110”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
满分:5 分
20. 下面哪个选项不是信号和变量的不同特性
A. 赋值方式不同
B. 定义位置不同
C. 赋值行为不同
D. 综合结果不同
此题选: D 满分:5 分
版权声明
声明:有的资源均来自网络转载,版权归原作者所有,如有侵犯到您的权益
请联系本站我们将配合处理!
上一篇 : 13春电子科大《EDA技术》在线作业1
下一篇 : 13春电子科大《JAVA语言》在线作业2